Questions tagged [yosys]

Yosys is an open-source framework for (Verilog) HDL synthesis and formal verification. It is highly customizable using scripts and a C++ extensions API.

Yosys is an open-source framework for (Verilog) HDL synthesis and formal verification. It is highly customizable using scripts and a C++ extensions API.

180 questions
1
vote
1 answer

Why do I get "Module port is neither input nor output" for all wires?

The error I get when trying to run the program is: Yosys failed with code 1 unnamed.sv:5: ERROR: Module port `\D0' is neither input nor output. This happens for all the wires. module twobitmulti (A1, A0, B1,B0, P0, P1, P2, P3, C1, D0, D1, D2); …
1
vote
1 answer

convert verilog to aiger with Yosys

I have several verilog files which I want to convert to aiger format using Yosys, I use the following commmad in yosys: read_verilog gclk_reg.v nld_and.v my_ff_W_8_.v gated_netlist.v synth_xilinx -flatten -top gclk_reg aigmap write_aiger -ascii…
张小禹
  • 11
  • 1
1
vote
1 answer

iCE40 Ultra Plus 5k — how to set PLL (without propietary GUI tools) (continued)

In this question, I was suggested to use the existing libraries in order to test a PLL for the iCE40 Ultra Plus 5k. I bought the Icebreaker V1.0e board and it looks like this: External 12 MHz oscilator is connected to the pin 35 (marked green) of…
71GA
  • 1,132
  • 6
  • 36
  • 69
1
vote
0 answers

Selecting the MFFC of a node

I need to determine the maximum fanout-free cone (MFFC) of nodes/cells in a circuit. As far as I am aware, there is no direct command in Yosys to do that. I thought it might be possible with the select command, but I have not figured out a correct…
lwitsche
  • 11
  • 1
1
vote
1 answer

YOSYS simulating a combinatorial circuit with a specific input

I have a very simple combinatorial circuit written in .blif, such as: .model circuit .inputs a b .outputs c .names a b c 11 1 .end Now I'd like to simulate it with yosys, and I'd like to specify the input. Basically, I am trying to replicate…
Eelah
  • 11
  • 1
1
vote
1 answer

how to estimation a chip size with standard cell library

I have recently started using yosys, synthesized a DSP block with cmos_cells.lib and got following results: ABC RESULTS: NAND cells: 2579 ABC RESULTS: NOR cells: 2771 ABC RESULTS: NOT…
M.X
  • 195
  • 3
  • 12
1
vote
1 answer

System Verilog Loops

Im currently working on the Shift-Add Algorithm (32x32 bit Multiplication) in System Verilog. System Verilog cant find any error and my code is working correctly according to GTKwave. When I synthesize my circuit with yosys, Latches will be added.…
d4mb
  • 33
  • 6
1
vote
1 answer

Addition/Substraction Optimization in Yosys

I have the following very simple verilog module, which depending on the input op either performs a+b or a-b. module addsub (a, b, op, r); parameter DATA_WIDTH = 4; input [DATA_WIDTH-1:0] a, b; input op; output [DATA_WIDTH-1:0] r; …
hu3
  • 13
  • 3
1
vote
0 answers

How to preserve wires during Yosys ABC implementation step

I am implementing a simple circuit and want to preserve some wires during implementation using Yosys ABC. I am synthesizing using synth_ice40. I am using (* keep *) in my Verilog code to preserve the wires but I have noticed that after TECHMAP pass,…
1
vote
0 answers

Yosys Error for long names (wires/instances)

I'm trying to run a large design with Yosys but I get the following error terminate called after throwing an instance of 'ord::Exception' what(): Net…
ahmedafify
  • 11
  • 1
1
vote
1 answer

how do I import sv packages using YOSYS

I was wondering how to import sv packages while using YOSYS. For instance In the file my_pkg.sv I have the following package my_pkg; parameter KL=64; endpackage Now in the file top.sv I have the following import my_pkg::*; module top( …
guytout
  • 53
  • 1
  • 5
1
vote
1 answer

Understanding logic tile LC_5 bits

i m new to yosys and arachne-pnr. Here is the snippet from .asc and .icebox_explain. I could not understand how the bits of LC_5 are derived from .logic_tile 1 11. example.v module top (input a, b, output y); assign y = a &…
Sajjad
  • 111
  • 1
  • 13
1
vote
1 answer

Error "does map to unexpanded memory" in yosys verilog when using indexed part select

I'm having trouble understanding this error I'm getting in Yosys. I copied the relevant (I think) code below. reg signed [15:0] wb1 [0:131071]; reg signed [27:0] currentAttrWB [0:4094]; always @(posedge clk) currentAttrWB <= wb1[attrWBoffset +:…
ke10g
  • 27
  • 4
1
vote
1 answer

Drawing schematic out of yosys using Latex with CircuitTikZ and convert it into a PDF?

Drawing circuit diagrams using logic gates symbols like a traditional logic gate-level netlist. I saw the following: Drawing circuit diagrams (with logic gates) in…
1
vote
1 answer

How to create a custom technology cell map for Yosys

I have the following simple Verilog design (in test.v): module digital ( input a, b, c, output reg q ); wire ena = a & b; always @ (ena, c) begin if (ena) q <= c; end endmodule Which models a simple latch with a gate on it's ena…
FriendFX
  • 2,929
  • 1
  • 34
  • 63