Questions tagged [vlsi]

Very-large-scale integration (VLSI) is the process of creating integrated circuits by combining thousands of transistors into a single chip. VLSI began in the 1970s when complex semiconductor and communication technologies were being developed. The microprocessor is a VLSI device.

Very-large-scale integration (VLSI) is the process of creating integrated circuits by combining thousands of transistors into a single chip. VLSI began in the 1970s when complex semiconductor and communication technologies were being developed. The microprocessor is a VLSI device.

In addition, VLSI Technology, Inc was a company which designed and manufactured custom and semi-custom ICs. The company was based in Silicon Valley, with headquarters at 1109 McKay Drive in San Jose, California. Along with LSI Logic, VLSI Technology defined the leading edge of the application-specific integrated circuit (ASIC) business, which accelerated the push of powerful embedded systems into affordable products.

129 questions
0
votes
2 answers

What does shared memory do when they get 2 write request from 2 cores in RISC V multi core processor?

I'm just doing a project "design a dual-core processor based on RISC-V ISA no pipeline, no private or share cache and 2 cores are shared a data memory". I have designed RISC V single core processor and it's worked. There are something i don't…
0
votes
3 answers

Is it possible to have two instance have same name in the netlist?

Is it possible to have two flops/any other instances have the same name in the netlist? Considering that there is no hierarchy, say I have a design of 10M instances and there exists an flop called foo, is it possible that another flop have same name…
Hemant Bhargava
  • 3,251
  • 4
  • 24
  • 45
0
votes
1 answer

What book should I refer for flip flop timing diagram for VLSI (for such question given below)?

enter image description here I am pursuing masters in electronics system design and this was my question paper for today exam was unable to answers the first three questions properly.
Varun
  • 21
  • 7
0
votes
1 answer

Can be a few supply1 nets in verilog code? If yes, what is the meaning?

module inst(); supply1 sp1; supply1 sp2; top…
karen.nik98
  • 59
  • 1
  • 4
0
votes
1 answer

How do I communicate multiple ARINC429 channels with SPI slave device in VHDL

enter image description here I am developing an application of receiving Master data from CPU and transmiting to multiple channels through the SPI salve. How do I do memory map for SPI slaves for multiple channels How do I communicate multiple…
srihari
  • 19
  • 6
0
votes
2 answers

How to access sequence data from scoreboard

In my project, there are so many sequences which handle by virtual sequence. One of sequence has the information of dimensions of box size and start values. So I need to send sequence to scoreboard. I had tried by UVM ports At sequence: uvm_…
0
votes
1 answer

10:1024 bit decoder in verilog

I tried to implement 10:1024 bit decoder in verilog with proper test bench using behavioural modeling. The Code is shown below. module decoder(input [9:0]address,output reg [1023:0]add); reg [9:0]i; always@(address) begin …
mohitesh07
  • 31
  • 1
  • 3
0
votes
1 answer

Error compiling VHDL in VLSI

I am creating a CPU in my VLSI, starting with a register: library ieee; use ieee.std_logic_1164.all; package types is type BYTE is array (7 downto 0) of std_logic; end types; -- Have to use one file because of Electric's compiler library…
Rdrpenguin
  • 13
  • 6
0
votes
1 answer

how to concatenate bit with a string in system verilog?

if(ci.busy) begin// writing **dataout** = {dataout,string'(**ci.dout**)}; $sformat( request,"%b",req.dout ); $fwrite(data, request); end here ci.dout is bit and dataout is string. This is the code I was using to convert bit to string…
Raviteja
  • 35
  • 1
  • 6
0
votes
3 answers

Pulse counter in verilog

I am trying to build a pulse which is goes high for 8 pulses of clock and goes low for rest. So when enable and clock is high pulse goes high while after 8 pulses of clock pulse goes low. How can i implement and approach this in verilog. Here's what…
Kashish Jain
  • 11
  • 1
  • 1
0
votes
1 answer

Propagational delay in circuits

which is better for accurate proportional delay: spice simulation method or calculation using elmores delay (RC delay modeling)
Qurat
  • 64
  • 5
0
votes
3 answers

same source, different clk frequency(multi-clock design)

how to handle the multi-clock design signals with the clock generated from the same source? For example, one clock domain is 25Mhz the other one is 100Mhz how can I handle the data bus from 25Mhz to 100Mhz and also 100Mhz to 25Hhz? don't want to…
0
votes
1 answer

SystemVerilog priority modifier usage

I'm reading about SV priority keyword. I found following example in on of the papers written by Sutherland: I'm not quite sure why we can see simulation synthesis mismatch if {priority} is NOT used. Lets assume we don't use {priority} and {IRQ} is…
newbie
  • 4,639
  • 10
  • 32
  • 45
0
votes
1 answer

Data extraction from netlist line by line using perl

My question is regarding extraction of data from a file in Perl. In the attached file there is standard format of net list. After running the program I got the elements into an array @name_gate but when I tried to print @name_gate[0] instead of the…
Blackwind
  • 1
  • 2
0
votes
1 answer

Associative array with wildcard in system verilog

Is it possible to iterate over an associative array with wildcard "*" using foreach loop?
Xeroxpop
  • 79
  • 1
  • 1
  • 6
1 2 3
8 9