Questions tagged [vivado]

Vivado® Design Suite is a development environment published by Xilinx for designing with their FPGA devices.

The Xilinx Vivado® Design Suite delivers a SoC-strength, IP-centric and system-centric, next generation development environment that has been built from the ground up to address the productivity bottlenecks in system-level integration and implementation. The Vivado Design suite is a Generation Ahead in overall productivity, ease-of-use, and system level integration capabilities.

http://www.xilinx.com/products/design-tools/vivado.html

744 questions
-1
votes
1 answer

Store data into ram on a zynq device

I am at moment having some problems storing an image generated in the PS part of my Zynq into the DDR3 of my board, and then read that image into the PL side of the board such that the VGA driver created there can The PS creates a 640x480 image,…
Lamda
  • 914
  • 3
  • 13
  • 39
-1
votes
6 answers

Multiple objects in a loop C++ without "new" keyword

I have a scenario where I need to create different objects in each iteration of a 'for' loop. The catch here is the synthesizer I am working does not support the "new" keyword. The Synthesizer I am using translates C/C++ code to RTL code (Hardware).…
-1
votes
3 answers

How to only show differences in source files

I am currently trying to setup a Xilinx Vivado project on git. Problem is there are tons of annoying files that Vivado uses that I dont want to see in a file dif. I want to be able to clone the project elsewhere and have it just work. So I don't…
Brian Crafton
  • 438
  • 2
  • 6
  • 15
-1
votes
1 answer

Simulation error on vivado: A fatal run-time error was detected. Simulation cannot continue

I have the following error when I try to run a simulation with vivado: A fatal run-time error was detected. Simulation cannot continue. Any idea about the type of the error? Below my testbench: library ieee; use ieee.std_logic_1164.all; use…
user3914897
  • 115
  • 2
  • 4
  • 11
-1
votes
4 answers

ambiguous clock in event control

I wrote such verilog code in xilinx vivado: module a(input clk, input clk1, output reg [4:0] acc) initial begin acc = 5'd0; end always @ (posedge clk or posedge clk1) begin acc <= acc+1; end endmodule And the error (ambiguous clock in event…
K2082
  • 37
  • 1
  • 1
  • 3
-2
votes
1 answer

How to use the command "+incdir+" in synplify script(.tcl) when one verilog file include another verilog file?

I insert a verilog file into another verilog file by using "`include " And when I used the synplify script(*.tcl) to try to synthesize, one error occured: invalid command name "+incdir+<...>" It seems like I have to use the command "+incdir+"…
Xiuhua Yang
  • 7
  • 1
  • 3
-2
votes
1 answer

Why in the Design Timing Summery (synthesis) in Vivado i get WNS = inf?

I want to make sure that my program works with a clock of at least 100ns. I have already set the timing constraint.
-2
votes
1 answer

How can i use enum in a testbench while passing a file with vectors?

basically i declared a typedef enum in a package (in a file called Definition.sv): typedef enum logic[3:0] { AND = 4'b0000, //AND EOR = 4'b0001, //XOR …
Gabbed
  • 37
  • 1
  • 7
-2
votes
1 answer

How to get all used IDs in a log file

I have a log file containing multiple IDs like this: INFO: [Synth 8-3491] module 'IOBUF' declared at 'C:/Xilinx/Vivado/2017.3/scripts/rt/data/unisim_comp.v:22655' bound to instance 'LED_tri_iobuf_7' of component 'IOBUF'…
Paebbels
  • 15,573
  • 13
  • 70
  • 139
-2
votes
1 answer

ERROR: unable to find numeric literal operator 'operator""U'?

I am using ARM v7 g++ compiler on Vivado and SDK 2017.4. I had the same code working without any problem, but now it is throwing this error after changing something which is not related with this, apparently. I have undone the changes but it still…
Cerilet
  • 3
  • 1
  • 3
-2
votes
1 answer

Array aggregation on self-defined types?

I'm trying to implement an FSM with a RAM behavior. There are multiple addresses of this ram that should be initialized while describing this FSM. So, I'm using the array aggregation technique to initialize the first 20 addresses of the ram_block.…
hexpheus
  • 741
  • 10
  • 22
-2
votes
1 answer

Coding error for vivado project

I wanted to synthesis this coding, but it keep telling me that there is error at "reg button_old, button_raise;". Is there anyone who knows what mistakes I have in the coding? Thank you very much for your help. We are doing project using nexys 4,…
-2
votes
1 answer

Synthesis Error IN VIVADO HLS

I wrote C++ Program to sum two numbers. During the Simulation I got correct result but in synthesis.Solution1/.autopilot/db/Adder.pragma.1.cpp:1, error. Please let me know, why I am getting that error message during Synthesis.
Saras
  • 15
  • 5
-2
votes
1 answer

Vhdl Test Bench Unknown Syntax Error

I am trying to write a testbench but Vivado tells me that I have a Syntax error on a specific line. I am not able to realize what have I done wrong. Can anyone help. Here is my tb code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use…
-3
votes
1 answer

How can I create a grayscale image for Vivado HLS?

How can I create a grayscale image in c++? Image size 100x100. I know that in python this can be done using numpy. The best option would be if this image looks like a scale of 10 colors from white to black. I work in Vivado HLS, so I can only use…
1 2 3
49
50