Questions tagged [asic]

An Application-Specific Integrated Circuit (ASIC) is an integrated circuit customized for a specific application, rather than being a general-purpose IC.

An Application-Specific Integrated Circuit (ASIC) is an integrated circuit customized for a specific application, rather than being a general-purpose IC.

See Wikipedia page on ASICs.

97 questions
1
vote
2 answers

ASIC design - combinational logic

I had an interview a few days back and this was the question they asked me in one of the rounds: A mux which gives output a when select line is 1 and b when it is 0. The output is represented as C. This has to be implemented with and and not gates…
Ram
  • 547
  • 2
  • 4
  • 13
1
vote
2 answers

Is it possible to display coverage of a specific bin within a coverpoint in a logfile using the simvision tool?

I am trying to display coverage (in terms of percentage) for a specific bin within a coverpoint. I am able to display the coverage percentage of a coverpoint but not the coverage percentage for individual bins within the coverpoint. covergroup cov_a…
1
vote
1 answer

-> operator in the middle of a property

I understand that [-> m] is a non-consecutive GoTo operator and can be used as (e.g.) @(posedge clk) a |=> b [->2] ##1 c; But I came across an example, where I see (in the middle of a property), a -> b. What does that mean? Does it mean if a is…
CCRCCR
  • 19
  • 2
1
vote
1 answer

Why this process is executed when the simulation starts

This is a simple entity just to know the usage of "process" My question is: Why the process is executed when the simulation just starts? I think the process wakes up when the signals in the sensitivity list change, but in this example, the…
1
vote
0 answers

What does Trace Enable mean in Lauterbach?

I am working on Lauterbach to collect the traces from a sink where a processor is a source. This is done using a 'step' operation and while doing so, in the midway on the trace.list window I am displayed with 'TRACE ENABLE' in red (as attached in…
QTip
  • 70
  • 6
1
vote
1 answer

Systemverilog function synthesis - automatic vs static

In the context of combinatorial logic synthesis (not simulation): When should a function be declared as automatic ? When should a function be declared as static ?
shaiko
  • 159
  • 6
1
vote
0 answers

Using firmware on ASIC simulation environment

We are designing an ASIC that is based on an ARM CPU and multiple other hardware engines. These engines are controlled through memory mapped registers accessible by the CPU AHB port. Currently, we have simple tests written in C that are compiled,…
Marcus
  • 11
  • 1
1
vote
1 answer

Understanding CMOS performance and complexity for ASIC : 350nm to 45nm process

I am trying to build an ASIC chip with the help of the MOSIS project. (They make it cheaper by combining multiple small project into a single fab). I have a choice between 350nm to 45nm, and everything in between. My project consists of a…
Anon21
  • 2,961
  • 6
  • 37
  • 46
1
vote
2 answers

Does enum literal deceleration of states guarantee a glitch free state machine?

does the enum literal deceleration of states for a state machine, guarantee a glitch free behavior as same as one would to assign order as below to the states? enum { a,b,c} states; //vs if you were to declare parameter a = 3'b000; parameter b=…
Mel
  • 174
  • 1
  • 11
1
vote
1 answer

How to replace combinational memory with ASIC cell in Chisel

I am trying to do ASIC synthesis for Rocket processor which is written by Chisel. It automatically generates *.conf and *.behave_srams.v files. So, I can easily replace SeqMem with ASIC SRAM. However, for "Mem" which is combinational memory is…
sungbo
  • 21
  • 2
1
vote
1 answer

Combinational circuit : Output 2s complement only when select line is high

I want to design a gate-level combinational circuit that implements the below logic. Is it possible to do it without using Adder? ... input wire [3:0] in, input wire sel, output wire [3:0] out ... assign out = ({4{sel}} & (~in + 1)) |…
1
vote
2 answers

Implementing a VHDL binary search on a std_logic_vector [vhdl]

I'm attempting to create synthesizable VHDL (function or procedure) for an ASIC (it must be part of the ASIC) that will look for the first '1' in a standard_logic_vector and output which vector position that '1' was in. For example, I have an 8-bit…
jtw71
  • 13
  • 5
1
vote
2 answers

Prepone Region in SystemVerilog

In SystemVerilog simulation, the prepone region is one of the several regions in a given time slot. What is the actual purpose of this region? Can anyone explain this with a valid example?
Aravind
  • 13
  • 6
1
vote
0 answers

Modelsim error "is not an operator symbol"

I wrote code, but ModelSim said : "unsigned2hexstring" is not an operator symbol. What should I change and how use mine package like library? Is it will like : library ieee; use ieee.std_logic_1164.all; use work.prosoft_std.all ??? library…
1
vote
1 answer

SVA Property for a simple waveform

Waveform:- I did a property as: property p1; a |=> (b == 1)[=2] ##1 (c == 1)[=2] endproperty But this property doesn't work well for this waveform, it isn't working for 3 or more "b's" before the "c's" and it isn't working for "c's" after the…