Questions tagged [sigasi-studio]

Sigasi Studio is an Eclipse based standalone editor and Eclipse Plugin, which add support for various hardware description languages like Verilog or VHDL.

Sigasi Studio is an Eclipse based standalone editor and Eclipse Plugin from Sigasi nv. It offers support for following hardware description languages () : , and .

7 questions
1
vote
2 answers

Regex syntax to check prefixes and suffixes

I'm building some regex expressions to match naming conventions in Sigasi Studio (which uses Java syntax for regex). For example, a port name must end in _i or _o - e.g. my_input_port_i I tried using the txt2re generator, however instead of a simple…
BenAdamson
  • 625
  • 3
  • 10
  • 19
0
votes
2 answers

Sigasi in Eclipse

I have just installed the Sigasi Studio pluginin Eclipse (version: Eclipse IDE 2018-12). When I try to launch it,to make a new VHDL file, I get the following: The selected wizard could not be started. org/eclipse/lsp4j/Range (occurred in…
michele_ub
  • 125
  • 1
  • 7
0
votes
1 answer

Why are inner components not being executed

So, i've created a hierarchical desing of components in VHDL. The top level entity for now is the following. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; --This component takes 2 numbers written in scientific notation and…
user3013172
  • 1,637
  • 3
  • 15
  • 26
0
votes
2 answers

Incomplete sensitivity list in VHDL with Sigasi editor

Currently, I try to develop my VHDL skills and therefore I use the Sigasi plugin for Eclipse to write some VHDL code. Sigasi is a great tool, but there is one thing, which is bothering me, though. Constantly, Sigasi tosses warnings about incomplete…
zufall
  • 69
  • 5
0
votes
1 answer

Sigasi Eclipse "Codometer" half hidden

I'm using a Sigasi eclipse plug in to program and simulate VHDL through Eclipse. However, at present I'n only using the free version, which supports projects up to a certain size. This size is handily indicated by a provided "codometer" widget in…
davidhood2
  • 1,367
  • 17
  • 47
0
votes
1 answer

Activating/Using ISim tool chain with Eclipse (VHDL)

I'm attempting to program very basic VHDL on eclipse using the Sigasi plugin with an educational license - with the aim to be, I can program a simple entity and a test bench for it, then compile and simulate it in Xilinx's ISim. I have installed…
davidhood2
  • 1,367
  • 17
  • 47
0
votes
1 answer

Run eclipse editor action on entire project

Question: Is there a way to run an eclipse action that is available from the context menu in the editor on every file of an project. Actual Case: I have to work with the leon3 and my dull mind has trouble enough understanding the code, that I do not…
ted
  • 4,791
  • 5
  • 38
  • 84