Questions tagged [active-hdl]

ALDEC Active-HDL™ is a Windows® based, integrated FPGA Design Creation and Simulation solution for team-based environments. Active-HDL’s Integrated Design Environment (IDE) includes a full HDL and graphical design tool suite and RTL/gate-level mixed-language simulator for rapid deployment and verification of FPGA designs.

ALDEC Active-HDL provides

  • Project Management
  • Graphical/Text Design Entry
  • Simulation and Debugging
  • Documentation in HTML/PDF

for FPGA design using mixed HDL languages with a common kernel mixed-language simulator (VHDL, Verilog, SystemVerilog (Design), SystemC).

This tag is intended for questions pertaining to Active-HDL unique features.

34 questions
0
votes
1 answer

understanding of vhdl code and flow of 4 bit ALU?

I am making 4 bit ALU here i have declared entities entity ALU is Port ( a : in STD_LOGIC_VECTOR (3 downto 0);); end ALU; can you please explain that how logic vector array works there i mean syntax of a : in STD_LOGIC_VECTOR…
nouman arshad
  • 453
  • 5
  • 15
0
votes
1 answer

Structural Architucture Simulation in ACtive-HDL

I have written two codes that successfully simulated in ISE Design Suit: -- 2X1 Multiplexer library IEEE; use IEEE.STD_LOGIC_1164.all; package mux2to1_pkg is component mux2to1 port(d1,d0: in std_logic; s: in std_logic; f: out…
alipr
  • 1
  • 2
0
votes
1 answer

Lattice Diamond 2.1

I upgraded my machine from WinXP to Win7, and at the same installed Lattice Diamond 3.1. My more complex simulations hang, Active-HDL uses 100% CPU time and is obviously in an infinite loop. Stupidly I don't have the installation of Lattice Diamond…
Francis Cagney
  • 301
  • 1
  • 10
-1
votes
1 answer

How to find frequency of a clock divider ?

Supposing i have on my board a 100Mhz clock and the following clock divider: entity div is port(clk:in std_logic; clk_out:out std_logic); architecture ar of div is begin process(clk) variable aux:integer := 0; variable aux2:std_logic :=…
1 2
3