0

I'm getting an error on icarus iverilog code in vscode

this is my hello.v file content

module hello(a,b);
    input a;
    output b;

    assign b = a;

endmodule

this is my hello_tb.v file content

`timescale 1ns/1ps
`include "hello.v"

module hello_tb;

reg a;
wire b;

hello uut(a,b);
initial begin
    $dumpfile("hello_tb.vcd");
    $dumpvars(0, hello_tb);
    a=0;#20;
    a=1;#20;
    a=0;#20;
    $display("test complete");

end
    
endmodule

when i type

iverilog -o hello_tb.vvp hello_tb.v

i get this error

No top level modules, and no -s option.

i get same error if i type iverilog -o hello_tb.vvp -s hello_tb hello.v hello_tb.v

please help me

im trying to get iverilog compile solution and at end gtkwave. but im getting this error

toolic
  • 57,801
  • 17
  • 75
  • 117
  • This must be a problem with vscode. When I run your 1st command in a unix shell, it runs without errors. Try the command outside of vscode. – toolic Sep 01 '23 at 09:17

0 Answers0