-2

This is for a university project. I'm programming a Mealy Box and I have reached an error I had never found, and I can't find solution online; there are similar, but still don't work.

The error is:

near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '(' .

It shows that error in every line form --Inputs to the uut line.

This is ModelSim 8.2, I've tried similar solutions in thsi site, but not one works.

--Design
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

ENTITY CentroDeInspecoes IS
Port(   clk : IN STD_LOGIC;
    rst : IN STD_LOGIC;
    cor_carro:IN BIT;
    tipo_jantes:IN BIT;
    int_farois:IN BIT;
    niv_farois: IN INTEGER;
    alteracoes_fisicas:IN BIT;
    eixo_principal_x :IN INTEGER;
    eixo_secundario_x :IN INTEGER;
    eixo_principal_y :IN INTEGER;
    eixo_secundario_y :IN INTEGER;
    rotmotor :IN INTEGER;
    rotrodas :IN INTEGER;
    rottransmissao :IN INTEGER;
    giravolante1 : IN INTEGER;
    giravolante2 : IN INTEGER;
    girarodas1 : IN INTEGER;
    girarodas2 : IN INTEGER;
    sist_travagem :IN BIT;
    emissao :IN INTEGER;    
    ot_cor: OUT STD_LOGIC;
    ot_jantes: OUT STD_LOGIC;
    ot_int_farois: OUT STD_LOGIC; 
    ot_niv_farois: OUT STD_LOGIC;
        ot_alteracoes_fisicas: OUT STD_LOGIC;
    ot_alinhamento_eixos: OUT STD_LOGIC;
    ot_transmissao: OUT STD_LOGIC;
    ot_direcao: OUT STD_LOGIC;
    ot_sist_travagem: OUT STD_LOGIC;
    ot_emissao: OUT STD_LOGIC;
    ot_falhas: OUT INTEGER
);

END CentroDeInspecoes;

ARCHITECTURE teste of CentroDeInspecoes is

TYPE estado is (cor, jantes, intensidade_farois, nivel_farois, alteracoes, eixos, motor, folga, travagem, CO2);
SIGNAL estado_presente, estado_seguinte : estado;

--Cores
SIGNAL ilegal : STD_LOGIC := '0';
SIGNAL legal : STD_LOGIC := '1';

--Jantes
SIGNAL alteradas :  STD_LOGIC := '0';
SIGNAL padrao :  STD_LOGIC := '1';

--Intensidade Farois
SIGNAL calibracao_mal : STD_LOGIC := '0';
SIGNAL calibracao_bem : STD_LOGIC := '1';

--
CONSTANT niv_menor : INTEGER :=50;
CONSTANT niv_maior : INTEGER :=70;

SIGNAL com_alteracoes : STD_LOGIC := '0';
SIGNAL sem_alteracoes : STD_LOGIC := '1';

SIGNAL desalinhados : STD_LOGIC := '0';
SIGNAL alinhados : STD_LOGIC := '1';


SIGNAL rotacoes_diferentes : STD_LOGIC := '0';
SIGNAL rotacoes_iguais : STD_LOGIC := '1';


SIGNAL mau_estado : STD_LOGIC := '0';
SIGNAL bom_estado : STD_LOGIC := '1';

SIGNAL foraniveis : STD_LOGIC := '0';
SIGNAL dentroniveis : STD_LOGIC := '1';

TYPE valor IS array(1 downto 0) of Integer range 82 to 154;
SIGNAL valor_menor : INTEGER:= 82;
SIGNAL valor_maximo: INTEGER:= 154;

SIGNAL falhas: INTEGER:=0;

BEGIN

processo_sequencial_sincrono_com_relogio : process(clk)
BEGIN
    if rising_edge(clk) then
        if (rst = '1') then
            estado_presente <= cor;
        else
            estado_presente <= estado_seguinte;
        end if;
    end if;
end process;

verificao : process(estado_presente, falhas)
BEGIN
falhas <= 0;
estado_seguinte <= cor;

CASE (estado_presente) IS
    WHEN cor =>
        IF (cor_carro = '0') THEN
                    ot_cor <= ilegal;
            falhas <= falhas +1;
                        estado_seguinte <= jantes;
                ELSE
                    ot_cor <= legal;
                        estado_seguinte <= jantes;
                END IF;

    WHEN jantes =>
        IF (tipo_jantes = '0') THEN
            ot_jantes <= alteradas;
            falhas <= falhas +1;
            estado_seguinte <= intensidade_farois;
        ELSE
            ot_jantes <= padrao;
            estado_seguinte <= intensidade_farois;
        END IF;

    WHEN intensidade_farois =>
        IF (int_farois = '0') THEN
                    ot_int_farois <= calibracao_mal;
            falhas <= falhas +1;
                        estado_seguinte <= nivel_farois;
                ELSE
                    ot_int_farois <= calibracao_bem;
                        estado_seguinte <= nivel_farois;
                END IF;

    WHEN nivel_farois =>
        IF (niv_farois >= niv_menor) AND (niv_farois <= niv_maior) THEN
            ot_niv_farois <= '1'; --dentroNiveis
            estado_seguinte <= alteracoes;
        ELSE
            ot_niv_farois <= '0'; --foraNiveis
            falhas <= falhas +1;
            estado_seguinte <= alteracoes;
        END IF; 

    WHEN alteracoes =>
        IF (alteracoes_fisicas = '0') THEN
            ot_alteracoes_fisicas <= sem_alteracoes; --com alteracoes fisicas
            estado_seguinte <= eixos;
        ELSE
            ot_alteracoes_fisicas <= com_alteracoes; --sem alteracoes fisicas
            falhas <= falhas +1;
            estado_seguinte <= eixos;
        END IF;

    WHEN eixos =>
        IF ((eixo_principal_x*eixo_secundario_x) + (eixo_principal_y*eixo_secundario_y) /= 0) THEN
            ot_alinhamento_eixos <= desalinhados; --desalinhados
            falhas <= falhas +1;
            estado_seguinte <= motor;
        ELSE
            ot_alinhamento_eixos <= alinhados; --alinhados
            estado_seguinte <= motor;
        END IF;     

    WHEN motor =>
        IF ((rotmotor - rotrodas - rottransmissao) /=0) THEN
            ot_transmissao <= rotacoes_diferentes; --rotacoes_diferentes
            falhas <= falhas +1;
            estado_seguinte <= folga;
        ELSE
            ot_transmissao <= rotacoes_iguais; --rotacoes_iguais
            estado_seguinte <= folga;
        END IF;

    WHEN folga =>
        IF (giravolante1 = girarodas1) AND (giravolante2 = girarodas2) THEN
            ot_direcao <= rotacoes_iguais; --rotacoes_iguais '1'
            estado_seguinte <= travagem;
        ELSE
            ot_direcao <= rotacoes_diferentes; --rotacoes_diferentes '2'
            falhas <= falhas +1;
            estado_seguinte <= travagem;
        END IF;

    WHEN travagem =>
        IF (sist_travagem = '0') THEN
            ot_sist_travagem <= mau_estado;
            falhas <= falhas +1;
            estado_seguinte <= CO2;
        ELSE
            ot_sist_travagem <= bom_estado;
            estado_seguinte <= CO2;
        END IF;

    WHEN CO2 =>
        IF (emissao < valor_maximo) THEN
            ot_emissao <= '1'; --dentro dos niveis
            estado_seguinte <= cor;
            ot_falhas <= falhas;
        ELSE
            ot_emissao <= '0'; --fora dos niveis
            ot_falhas <= falhas +1;
            estado_seguinte <= cor;
        END IF;

    WHEN OTHERS =>
        estado_seguinte <= cor;
END CASE;
END PROCESS;

END teste;


--TESTBENCH

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;

ENTITY CentroDeInspecoesTB IS
END CentroDeInspecoesTB;

ARCHITECTURE behavior OF CentroDeInspecoesTB IS

COMPONENT CentroDeInspecoes
Port(   
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
cor_carro:IN BIT;
tipo_jantes:IN BIT;
int_farois:IN BIT;
niv_farois: IN INTEGER;
alteracoes_fisicas:IN BIT;
eixo_principal_x :IN INTEGER;
eixo_secundario_x :IN INTEGER;
eixo_principal_y :IN INTEGER;
eixo_secundario_y :IN INTEGER;
rotmotor :IN INTEGER;
rotrodas :IN INTEGER;
rottransmissao :IN INTEGER;
giravolante1: IN INTEGER;
giravolante2: IN INTEGER;
girarodas1 : IN INTEGER;
girarodas2 : IN INTEGER;
sist_travagem :IN BIT;
emissao :IN INTEGER;    
ot_cor: OUT STD_LOGIC;
ot_jantes: OUT STD_LOGIC;
ot_int_farois: OUT STD_LOGIC;
ot_niv_farois: OUT STD_LOGIC;
ot_alteracoes_fisicas: OUT STD_LOGIC;
ot_alinhamento_eixos: OUT STD_LOGIC;
ot_transmissao: OUT STD_LOGIC;
ot_direcao: OUT STD_LOGIC;
ot_sist_travagem: OUT STD_LOGIC;
ot_emissao: OUT STD_LOGIC;
ot_falhas: OUT INTEGER
);
END COMPONENT;

--Inputs
SIGNAL  clk : IN STD_LOGIC := '0';
SIGNAL  rst : IN STD_LOGIC := '0';
SIGNAL  cor_carro:IN STD_LOGIC := '0';
SIGNAL  tipo_jantes : IN BIT;
SIGNAL  int_farois : IN BIT;
SIGNAL  niv_farois : IN INTEGER;
SIGNAL  alteracoes_fisicas: IN BIT;
SIGNAL  eixo_principal_x :IN INTEGER;
SIGNAL  eixo_secundario_x :IN INTEGER;
SIGNAL  eixo_principal_y :IN INTEGER;
SIGNAL  eixo_secundario_y :IN INTEGER;
SIGNAL  rotmotor :IN INTEGER;
SIGNAL  rotrodas :IN INTEGER;
SIGNAl  rottransmissao :IN INTEGER;
SIGNAL  giravolante1: IN INTEGER;
SIGNAL  giravolante2: IN INTEGER;
SIGNAL  girarodas1 : IN INTEGER;
SIGNAL  girarodas2 : IN INTEGER;
SIGNAL  sist_travagem : IN BIT; 
SIGNAL  emissao : IN INTEGER;

--Outputs
SIGNAL  ot_cor: OUT STD_LOGIC;
SIGNAL  ot_jantes: OUT STD_LOGIC;
SIGNAL  ot_int_farois: OUT STD_LOGIC;
SIGNAL  ot_niv_farois: OUT STD_LOGIC;
SIGNAL  ot_alteracoes_fisicas: OUT STD_LOGIC;
SIGNAL  ot_alinhamento_eixos: OUT STD_LOGIC;
SIGNAL  ot_transmissao: OUT STD_LOGIC;
SIGNAL  ot_direcao: OUT STD_LOGIC;
SIGNAL  ot_sist_travagem: OUT STD_LOGIC;
SIGNAL  ot_emissao: OUT STD_LOGIC;
SIGNAL ot_falhas: OUT INTEGER;

--Período das verificacoes do relogio
constant clk_period : time := 20 ns;

BEGIN

uut: CentroDeInspecoes PORT MAP(
    clk => clk,
    rst => rst,
    cor_carro => cor_carro,
    tipo_jantes => tipo_jantes,
    int_farois => int_farois,
    niv_farois => niv_farois,
    alteracoes_fisicas => alteracoes_fisicas,
    eixo_principal_x => eixo_principal_x,
    eixo_secundario_x => eixo_secundario_x,
    eixo_principal_y => eixo_principal_y,
    eixo_secundario_y => eixo_secundario_y,
    rotmotor => rotmotor,
    rotrodas => rotrodas,
    rottransmissao => rottransmissao,
    giravolante1 => giravolante1,
    giravolante2 => giravolante2,
    girarodas1 => girarodas1,
    girarodas2 => girarodas2,
    sist_travagem => sist_travagem, 
    emissao => emissao, 
    ot_cor => ot_cor,
    ot_jantes => ot_jantes,
    ot_int_farois => ot_int_farois,
    ot_niv_farois => ot_niv_farois,
        ot_alteracoes_fisicas => ot_alteracoes_fisicas,
    ot_alinhamento_eixos => ot_alinhamento_eixos,
    ot_transmissao => ot_transmissao,
    ot_direcao => ot_direcao,
    ot_sist_travagem => ot_sist_travagem,
    ot_emissao => ot_emissao);

--Processo do relogio
clk_process:PROCESS
BEGIN
    clk <= '0';
    wait for clk_period/2;
    clk <= '1';
    wait for clk_period/2;
END PROCESS;

--Estimulos das ondas
stim_proc : PROCESS
BEGIN
    rst <= '1';
    wait for 20 ns;

    rst <= '0';
    cor_carro <= '1';
    wait for 20 ns;

    tipo_jantes <= '1';
    wait for 20 ns;

    int_farois <= '1';
    wait for 20 ns; 

    niv_farois <= 60;
    wait for 20 ns;

    alteracoes_fisicas <= '0';
    wait for 20 ns;

    eixo_principal_x <= 1;
    eixo_principal_y <= 0;
    eixo_secundario_x <= 0;
    eixo_secundario_y <= 2;
    wait for 20 ns;

    rotmotor  <= 1000;
    rotrodas  <= 60;
    rottransmissao  <= 940;
    wait for 20 ns;

    giravolante1  <= -360;
    giravolante2  <= 360;
    girarodas1  <= -360;
    girarodas2  <= 360;
    wait for 100 ns;

END PROCESS;
END;

I expected to be correct since my friend has pretty similar, but it won't work.

The errors are :

vcom -work work -2002 -explicit -stats=none C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd
Model Technology ModelSim PE Student Edition vcom 10.4a Compiler 2015.03 Apr  7 2015
-- Loading package STANDARD
-- Loading package TEXTIO
-- Loading package std_logic_1164
-- Loading package NUMERIC_STD
-- Compiling entity CentroDeInspecoesTB
-- Compiling architecture behavior of CentroDeInspecoesTB
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(47): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(48): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(49): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(50): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(51): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(52): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(53): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(54): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(55): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(56): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(57): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(58): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(59): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(60): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(61): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(62): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(63): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(64): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(65): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(66): near "IN": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(69): near "OUT": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(70): near "OUT": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(71): near "OUT": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(72): near "OUT": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(73): near "OUT": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(74): near "OUT": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(75): near "OUT": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(76): near "OUT": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(77): near "OUT": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(78): near "OUT": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(79): near "OUT": (vcom-1576) expecting STRING or IDENTIFIER or << or '('.

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(87): (vcom-1136) Unknown identifier "clk".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(88): (vcom-1136) Unknown identifier "rst".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(89): (vcom-1136) Unknown identifier "cor_carro".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(90): (vcom-1136) Unknown identifier "tipo_jantes".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(91): (vcom-1136) Unknown identifier "int_farois".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(92): (vcom-1136) Unknown identifier "niv_farois".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(93): (vcom-1136) Unknown identifier "alteracoes_fisicas".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(94): (vcom-1136) Unknown identifier "eixo_principal_x".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(95): (vcom-1136) Unknown identifier "eixo_secundario_x".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(96): (vcom-1136) Unknown identifier "eixo_principal_y".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(97): (vcom-1136) Unknown identifier "eixo_secundario_y".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(98): (vcom-1136) Unknown identifier "rotmotor".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(99): (vcom-1136) Unknown identifier "rotrodas".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(100): (vcom-1136) Unknown identifier "rottransmissao".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(101): (vcom-1136) Unknown identifier "giravolante1".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(102): (vcom-1136) Unknown identifier "giravolante2".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(103): (vcom-1136) Unknown identifier "girarodas1".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(104): (vcom-1136) Unknown identifier "girarodas2".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(105): (vcom-1136) Unknown identifier "sist_travagem".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(106): (vcom-1136) Unknown identifier "emissao".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(107): (vcom-1136) Unknown identifier "ot_cor".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(108): (vcom-1136) Unknown identifier "ot_jantes".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(109): (vcom-1136) Unknown identifier "ot_int_farois".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(110): (vcom-1136) Unknown identifier "ot_niv_farois".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(111): (vcom-1136) Unknown identifier "ot_alteracoes_fisicas".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(112): (vcom-1136) Unknown identifier "ot_alinhamento_eixos".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(113): (vcom-1136) Unknown identifier "ot_transmissao".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(114): (vcom-1136) Unknown identifier "ot_direcao".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(115): (vcom-1136) Unknown identifier "ot_sist_travagem".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(116): (vcom-1136) Unknown identifier "ot_emissao".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(121): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(121): (vcom-1136) Unknown identifier "clk".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(123): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(123): (vcom-1136) Unknown identifier "clk".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(130): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(130): (vcom-1136) Unknown identifier "rst".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(133): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(133): (vcom-1136) Unknown identifier "rst".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(134): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(134): (vcom-1136) Unknown identifier "cor_carro".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(137): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(137): (vcom-1136) Unknown identifier "tipo_jantes".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(140): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(140): (vcom-1136) Unknown identifier "int_farois".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(143): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(143): (vcom-1136) Unknown identifier "niv_farois".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(146): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(146): (vcom-1136) Unknown identifier "alteracoes_fisicas".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(149): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(149): (vcom-1136) Unknown identifier "eixo_principal_x".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(150): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(150): (vcom-1136) Unknown identifier "eixo_principal_y".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(151): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(151): (vcom-1136) Unknown identifier "eixo_secundario_x".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(152): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(152): (vcom-1136) Unknown identifier "eixo_secundario_y".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(155): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(155): (vcom-1136) Unknown identifier "rotmotor".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(156): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(156): (vcom-1136) Unknown identifier "rotrodas".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(157): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(157): (vcom-1136) Unknown identifier "rottransmissao".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(160): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(160): (vcom-1136) Unknown identifier "giravolante1".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(161): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(161): (vcom-1136) Unknown identifier "giravolante2".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(162): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(162): (vcom-1136) Unknown identifier "girarodas1".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(163): Illegal target for signal assignment.
** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(163): (vcom-1136) Unknown identifier "girarodas2".

** Error: C:/ISPGAYA/ModelSim/examples/CentroDeInspecoesTB.vhd(167): VHDL Compiler exiting
halfer
  • 19,824
  • 17
  • 99
  • 186
  • 1
    Where is this code supposed to be located? There is no library, no entity, no package, nothing! Please give the complete code in a form as much reduced to show the problem. – Oldfart Jun 30 '19 at 17:25
  • Sorry u need the entity? or the testbench? cuz the entity is fine its compiling, btu the testbench is where this error is – João Lima Jun 30 '19 at 17:27
  • I strongly suggest you have a look at some VHDL test-bench examples on the WWW. A test-bench needs to conform to the same syntax as any other module. The only difference is that the top level module has no I/O ports. – Oldfart Jun 30 '19 at 17:34
  • @Oldfart Sorry to bother you, but my design is working as inteded, while this testbench has the asme error over and over adn i can't find whats wrong – João Lima Jun 30 '19 at 18:37
  • For signal declarations in the architecture body declarative part of the testbench see IEEE Std 1076-2008 6. Declarations, 6.4 Objects, 6.4.2 Object declartions, 6.4.2.3 Signal declarations - the Bachus Naur Form demonstrating the correct syntax for a signal object (as opposed to the interface signal declaration found in 6.5.2 Interface object declarations). These appear cut, paste and edit errors in producing signal declarations from either the component declaration or entity declaration. You've made the same syntax error 31 times. –  Jun 30 '19 at 19:50
  • There's also a type mismatch for cor_corro in the port map association list actual and formal). See 6.5.7 Association lists, 6.5.7.1 General paragraph 13 "The type of the actual (after applying the conversion function or type conversion, if present in the actual part) shall be the same as the type of the corresponding formal, ..." –  Jun 30 '19 at 20:00
  • The lack of an enlightening verror message for vcom-1136 is likely due to a Modelsim implementation limitation combining the syntax rules for signal_declaration (6.4.2.3 Signal declarations) and interface_signal_declaration (6.5.2 Interfaces object declarations) deferring syntax verification to semantic analysis. Note that different reserved words are either required or optional between the two declarations. –  Jun 30 '19 at 20:12

1 Answers1

1

As others have pointed out, you're making the same typo over and over again :

SIGNAL  clk : IN STD_LOGIC := '0';

should be :

SIGNAL  clk : STD_LOGIC := '0';

Should fix your problem.