1

With GtkWave I can simply open a vcd waveform file from the command line as follows:

PS> gtkwave waves.vcd

Now, I'm needing to switch to modelsim, and need this feature as well. How can I open a modelsim wlf waveform file from the command line and have it open a waves window the same way as gtkwave? I want to do something like this:

PS> start vsim -do "wave open vsim.wlf"
ERROR: bad tcl syntax...

The best I can do so far is just open the wave window:

PS> start vsim -do "view wave"
pico
  • 1,660
  • 4
  • 22
  • 52

3 Answers3

6

You're looking for the -view option of modelsim:

vsim -view vsim.wlf

will open the traced dataset in modelsim, there you can

do wave.do

to open your waveform file.

You can also do all of this together

vsim -view vsim.wlf -do wave.do
DonFusili
  • 241
  • 1
  • 4
2

I use vsim -view vsim.wlf ,then add wave -r *.

Aminvo
  • 41
  • 3
0

I use vsim -do my_module.do where my_module looks like this:

vsim -gui work.my_module
add wave -position insertpoint  \
sim:/my_module/*

OR

You can use vsim -gui work.my_module directly, if you have added your design to work using:

vlib work
vcom my_module.vhd
vmap -c 
vsim -gui work.my_module
Mostafa Wael
  • 2,750
  • 1
  • 21
  • 23