I'm receiving from outside std_logic_vector
with binary value, that is represent the bit which should be set to one and others to 0. As I understand it's decoder, but solving this problem with "when" statement will take so much lines of the code, plus it isn't reconfigurable.
Example:
signal number : std_logic_vector(7 downto 0);
signal output : std_logic_vector(255 downto 0);
output <= output(to_integer(unsigned(number))) and (others=>'0');