count := to_integer( unsigned ( values(i)));
Error: ERRORS: HDLParsers: 854 - The expression can not be converted to type unsigned
Preconditions:
imports
library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all;
count declared as
variable count : integer range 0 to 255 := 0;
values declared as
values: in std_logic_vector(7 downto 0);
Assignement operator <= tested without success yet.