This is simple VHDL design for flipflop. Please show me how to import vhdl file to systemverilog so i can do verification using UVM. If there is better way then wrapper please tell me. I am using Questa 10.4d.
library ieee;
use ieee. std_logic_1164.all;
use ieee. std_logic_arith.all;
use ieee. std_logic_unsigned.all;
entity flipflop is
port(D, Clock : in std_logic;
Q : out std_logic);
end flipflop;
architecture behavioral of flipflop is
begin
process(CLOCK)
begin
if(CLOCK='1' and CLOCK'EVENT) then
Q<=D;
end if;
end process;
end behavioral;