I have a Testbench that uses VHDL-2008's hierarchical accesses to test the good behaviour of my architecture, which I wrote in VHDL.
Like this :
TEST_SIGNAL <= << signal uut_0.signal_to_test : std_logic_vector(7 downto 0) >>;
This worked great but now what I want is to use the same testbench to simulate a verilog netlist corresponding to my synthesized design :
VHDL Testbench
- Verilog netlist
- Corresponding library
However, my hierarchical accesses don't work now ("Target of VHDL external name is not a vhdl object." error).
This is normal (I guess) but do you have any idea how I could work my way around this, i.e. still observe internal entities of my design? (Other than declaring everything I want to watch as outputs of my UUT...)
(I use ModelSim SE 10.3c to simulate)