I wrote this entity but i don't know how to write the architecture.It has to be done by using generics and it needs to work for any DMUX (1:2,1:4,1:8,1:16 etc) if I change the Nr_sel (number of selection inputs). The data(input) is on 1 bit.
entity DMUX is
generic (
Nr_sel: integer:= 3
);
port (
Input: in std_logic;
Sel: in std_logic_vector (Nr_sel - 1 downto 0);
Outputs: out std_logic_vector(2**Nr_sel - 1 downto 0)
);
end DMUX;