Below is a few lines of code extracted from source. When I try to build the code, the "Enumerated value U is missing in select." error appears and I have no idea why. I know though, that STD_LOGIC has 9 states ('U', 'X', '0', '1', 'Z', 'W', 'L', 'H', '-'). Dunno how to cover U.
entity cpu is
port (
DATA_ADDR : out std_logic_vector(12 downto 0);
-- a few more ports
);
end cpu;
architecture behavioral of cpu is
signal prog_data : STD_LOGIC_VECTOR(12 downto 0);
signal uk_data : STD_LOGIC_VECTOR(12 downto 0);
signal sel : STD_LOGIC;
-- a few more signals
begin
with sel select
DATA_ADDR <= prog_data when '0', -- error in this section
uk_data when '1';
--a few lines of code
end behavioral;