In vhdl, assume I have an unsigned vector defined as follows:
signal s_col_rd_check : unsigned(7 downto 0);
Now, whether I use the following library,
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
Or the following
use ieee.numeric_std.all;
Can I use do a comparison between my unsigned vector and an integer value as follows?
some_assignment <= '1' when (s_col_rd_check < 190) else '0';
where 190 is just an integer. Will the above comparison be the same whether I use either one of the libraries?
Thanks, --Rudy