Questions tagged [magnitude]

74 questions
0
votes
2 answers

How do you compute magnitudes (percentages) that are over and under a specific number in another column?

I have this data set study_ID title experiment question_ID participant_ID estimate_level estimate correct_answer question type category age gender
dampfy
  • 41
  • 5
0
votes
1 answer

How to find magnitude of the difference between y and the least squares fit?

I need to plot y using errorbar in matlab with error bars whose magnitude is the difference between y and the least squares fit. I have no idea how to find the magnitude. y = 60323 61122 60171 61187 63221 …
0
votes
0 answers

Calculating a magnitude

I have a hard time compiling this piece of code: UMat dx, dy; Sobel(GREY_IMAGE, dx, GREY_IMAGE.depth(), 1, 0, 3); Sobel(GREY_IMAGE, dy, GREY_IMAGE.depth(), 0, 1, 3); resize(dx, dx, Size(dx.rows, dx.rows), 0, 0, CV_INTER_AREA); resize(dy, dy,…
alladinsane
  • 185
  • 1
  • 11
0
votes
1 answer

Matlab Binary Plot for Magnitude Representing the Whole 8 Bits

I am trying to achieve a magnitude binary plot, where y shows the binary magnitude for the 8 bits. Others have addressed this question through plotting individual bits, but the goal to show the whole 8 bits, represented as one number (i.e. 00000001…
Minimalist
  • 963
  • 12
  • 34
0
votes
1 answer

Google Cloud Natural Language API - How is document magnitude calculated?

I am currently working with the Google Cloud Natural Language API and need to know how the magnitude value for a whole document (consisting of several sentences) is calculated? For the document sentiment score the average of the scores for each…
Christina
  • 255
  • 2
  • 5
  • 19
0
votes
1 answer

Perform an operation on each matching span of rows in R?

I have a data frame with observations in the following format: (my actual data has more columns than just this, but for the sake of clarity, these are what are important) head(sampleDF, 20) Timestamp TimeIntoSession …
TMIB
  • 3
  • 2
0
votes
1 answer

More general test for same order of magnitude than comparing floor(log10(abs(n)))

I am implementing an optimization algorithm and have diferent heuristics for cases where no or largely different lower and upper bounds for the solution are known or not. To check, my first approach would be simply…
mrclng
  • 483
  • 2
  • 14
0
votes
0 answers

How to find g-forces for 3 axis accelerometer

I am working on collecting 3 axis accelerometer data from an input file. I have extracted the x, y, z values but don;t know how to get the g forces for the accelerometer with 0g being half-scale and the range of the accelerometer is +/-5g. Any…
SanR
  • 43
  • 5
0
votes
0 answers

FFT magnitude units

I would like to ask what are the units of magnitude magnitude = sqrt(real*real + imag*imag); after performing fft on .wav file data? Is it like V^2?
Jake Pery
  • 61
  • 2
  • 8
0
votes
1 answer

How do you set the magnitude and the phase of the DFT of an image to a specific value?

I have the DFT of a picture and I need to set its magnitude and its phase to zero, separately. Also if the magnitude of an image is 0 it will appear entirely as black, right?
BourbonCreams
  • 353
  • 4
  • 21
0
votes
0 answers

How can i get the magnitude response on matlab?

I am working on a Matlab code ,if this is the code i got so far can someone tell me how can i find the magnitude response of x(t) please. Ac = 1;% Carrier amplitude wc=0.8*pi; % Carrier frequency w0= 0.1*pi; % Modulation frequency Mf = 0.5; %…
Greenish Love
  • 23
  • 1
  • 5
0
votes
0 answers

How can I get the Resonant Frequencies (Bode plot)? (Response of 2-DOF System)

I want to study the response of the system. I want to find the resonant frequency of the sprung mass (m1) and the resonant frequency of the unsprung mass (m2). Because I am not sure if I have understood the meaning of resonant. Let's say that we…
Bob
  • 13
  • 7
0
votes
2 answers

how to calculate gain of a controller for desired bandwidth?

I have been designing a controller for a motion system. The controller contains a gain, Proportional integrator(PI) and a lead filter in series. I have tuned the gain of the controller manually to obtain a desired bandwidth(cross over frequency).…
0
votes
2 answers

4 bit magnitude comparator VHDL

I have to make a 4bit magnitude comparator in VHDL with only concurrent statements (no if/else or case/when). library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Exercise is port ( A : in std_logic_vector (3 downto 0); B : in…
rockstiff
  • 355
  • 1
  • 2
  • 17
0
votes
0 answers

Checking order of magnitude of functions

I am going through self study of theory of automate. I need some help in solving out the following problem: If f(n) = O(n2) and g(n) = O(n3) then how can I prove whether g(n)/f(n) = O(n) holds?
Mith
  • 1
  • 1