Here are the cods (1st one is the testbench and the second one the module)
`timescale 1ns/1ps
`include "not_example1.sv"
module testbench;
parameter BITS = 4;
logic [BITS-1:0] s_in;
logic [BITS-1:0] s_model_outs;
not_example1 #(.NUM(BITS)) not_model (.i_a(s_in), .o_y1(s_model_outs));
initial
begin
$dumpfile("signals.vcd");
$dumpvars(0,testbench);
s_in = '0;
#1
s_in = '1;
#1 s_in = '0;
#1 s_in = '1;
#1
$finish;
end
endmodule
Module
module not_example1 (i_a, o_y1);
parameter NUM = 4;
input logic [NUM-1:0] i_a;
output logic [NUM-1:0] o_y1;
always_comb
begin
o_y1 = ~ i_a;
end
endmodule
Basically the error is that I can't compile the testbench program. I'm writting everything in SystemVerilog (.sv). The message I get is :
I don't know what is this -g2005-sv. Is it something I should download ? Because the code to compile .sv file is correct I guess.